org.omg.CORBA.Object._set_policy_override()方法的使用及代码示例

x33g5p2x  于2022-01-25 转载在 其他  
字(1.9k)|赞(0)|评价(0)|浏览(104)

本文整理了Java中org.omg.CORBA.Object._set_policy_override()方法的一些代码示例,展示了Object._set_policy_override()的具体用法。这些代码示例主要来源于Github/Stackoverflow/Maven等平台,是从一些精选项目中提取出来的代码,具有较强的参考意义,能在一定程度帮忙到你。Object._set_policy_override()方法的具体详情如下:
包路径:org.omg.CORBA.Object
类名称:Object
方法名:_set_policy_override

Object._set_policy_override介绍

暂无

代码示例

代码示例来源:origin: wildfly/wildfly

public org.omg.CORBA.Object createReference(final String interfId) throws Exception {
  final org.omg.CORBA.Object corbaRef = poa.create_reference_with_id(EMPTY_BYTES, interfId);
  if (policies != null) {
    return corbaRef._set_policy_override(policies, SetOverrideType.ADD_OVERRIDE);
  } else {
    return corbaRef;
  }
}

代码示例来源:origin: wildfly/wildfly

public org.omg.CORBA.Object createReferenceWithId(final byte[] id, final String interfId) throws Exception {
  final org.omg.CORBA.Object corbaRef = poa.create_reference_with_id(id, interfId);
  if (policies != null) {
    return corbaRef._set_policy_override(policies, SetOverrideType.ADD_OVERRIDE);
  } else {
    return corbaRef;
  }
}

代码示例来源:origin: org.jboss.openjdk-orb/openjdk-orb

public org.omg.CORBA.Object _set_policy_override( Policy[] policies,
    SetOverrideType set_add)
  {
    return object._set_policy_override( policies, set_add ) ;
  }
}

代码示例来源:origin: org.jboss.as/jboss-as-ejb3

public org.omg.CORBA.Object createReferenceWithId(final byte[] id, final String interfId) throws Exception {
  final org.omg.CORBA.Object corbaRef = poa.create_reference_with_id(id, interfId);
  if (policies != null) {
    return corbaRef._set_policy_override(policies, SetOverrideType.ADD_OVERRIDE);
  } else {
    return corbaRef;
  }
}

代码示例来源:origin: org.jboss.as/jboss-as-ejb3

public org.omg.CORBA.Object createReference(final String interfId) throws Exception {
  final org.omg.CORBA.Object corbaRef = poa.create_reference_with_id(EMPTY_BYTES, interfId);
  if (policies != null) {
    return corbaRef._set_policy_override(policies, SetOverrideType.ADD_OVERRIDE);
  } else {
    return corbaRef;
  }
}

代码示例来源:origin: org.apache.yoko/yoko-core

vec.copyInto(p);
return obj._set_policy_override(p, org.omg.CORBA.SetOverrideType.SET_OVERRIDE);

相关文章