org.talend.daikon.avro.AvroUtils._logicalTimeMicros()方法的使用及代码示例

x33g5p2x  于2022-01-16 转载在 其他  
字(1.0k)|赞(0)|评价(0)|浏览(73)

本文整理了Java中org.talend.daikon.avro.AvroUtils._logicalTimeMicros()方法的一些代码示例,展示了AvroUtils._logicalTimeMicros()的具体用法。这些代码示例主要来源于Github/Stackoverflow/Maven等平台,是从一些精选项目中提取出来的代码,具有较强的参考意义,能在一定程度帮忙到你。AvroUtils._logicalTimeMicros()方法的具体详情如下:
包路径:org.talend.daikon.avro.AvroUtils
类名称:AvroUtils
方法名:_logicalTimeMicros

AvroUtils._logicalTimeMicros介绍

[英]Returns schema for Avro Time-micros logical type. It's Avro type is Long
[中]返回Avro Time micros逻辑类型的架构。它是Avro型的,很长

代码示例

代码示例来源:origin: org.talend.daikon/daikon

return AvroUtils._logicalTimeMicros();

代码示例来源:origin: Talend/components

/**
 * Checks {@link TalendType#convertFromAvro(Schema)} converts logical time-micros avro type to "id_Long" di type
 */
@Test
public void testConvertFromAvroLogicalTimeMicros() {
  TalendType expectedType = TalendType.LONG;
  Schema fieldSchema = AvroUtils._logicalTimeMicros();
  assertEquals(expectedType, TalendType.convertFromAvro(fieldSchema));
}

代码示例来源:origin: Talend/components

.noDefault()
.name("timemicro_fld")
.type(AvroUtils._logicalTimeMicros())
.noDefault()
.name("timestampmicro_fld")

相关文章